Skip to content
Draft
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
34 changes: 34 additions & 0 deletions flow/platforms/common/lcu_kogge_stone.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,34 @@
(* techmap_celltype = "$lcu" *)
module _80_lcu_kogge_stone (P, G, CI, CO);
parameter WIDTH = 2;

(* force_downto *)
input [WIDTH-1:0] P, G;
input CI;

(* force_downto *)
output [WIDTH-1:0] CO;

integer i, j;
(* force_downto *)
reg [WIDTH-1:0] p, g;

wire [1023:0] _TECHMAP_DO_ = "proc; opt -fast";

always @* begin
p = P;
g = G;

// in almost all cases CI will be constant zero
g[0] = g[0] | (p[0] & CI);

for (i = 0; i < $clog2(WIDTH); i = i + 1) begin
for (j = WIDTH - 1; j >= 2**i; j = j - 1) begin
g[j] = g[j] | p[j] & g[j - 2**i];
p[j] = p[j] & p[j - 2**i];
end
end
end

assign CO = g;
endmodule
2 changes: 0 additions & 2 deletions flow/scripts/global_place_skip_io.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -4,8 +4,6 @@ load_design 2_floorplan.odb 2_floorplan.sdc

if { [env_var_exists_and_non_empty FLOORPLAN_DEF] } {
puts "FLOORPLAN_DEF is set. Skipping global placement without IOs"
} elseif { [all_pins_placed] } {
puts "All pins are placed. Skipping global placement without IOs"
} else {
log_cmd global_placement -skip_io -density [place_density_with_lb_addon] \
-pad_left $::env(CELL_PAD_IN_SITES_GLOBAL_PLACEMENT) \
Expand Down
2 changes: 1 addition & 1 deletion tools/yosys
Submodule yosys updated 968 files